고속 동작 곱셈기 설계
해당 자료는 8페이지 중 2페이지
미리보기를 제공합니다

다운로드 후 확인하실 수 있습니다.
좌측 이동 우측 이동
1/8
  • 프리미엄

고속 동작 곱셈기 설계

자료번호 s1158610
수정일 2021.02.09 등록일 2012.12.17
페이지수 8Page 파일형식 워드(doc)
판매자 ki***** 가격 13,860원
  • 다운로드
  • 장바구니

프리미엄자료

  • 디지털 회로설계 - 고속 동작 곱셈기 설계
  • 디지털 회로설계 - 고속 동작 곱셈기 설계 1. 제목 : 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정을 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 설계 흐름을 숙지한다. 또한 VHDL을 사용한 sequential
  • 디지털논리회로 - 고속 동작 곱셈기 설계
  • 디지털논리회로 - 고속 동작 곱셈기 설계 6. 논의 사항 1) Booth 알고리듬과 일반 shift and add 알고리듬의 차이점을 논하시오. 일반 shift and add algorithm은 곱셈을 수행하는데 있어서 시프트-더하기 곱셈 알고리즘이 항상 제대로 동작하지 않는다.
  • 평판디스플레이(FED,LED,VFD)
  • 고속동작 등의 고른 장점을 갖추고 있어 소형 컬러TV에서부터 산업용 제품과 컴퓨터 등에 이르기까지 광범위하게 활용되고 있으며 가장 큰 수요처는 TFT LCD와 마찬가지로 노트북PC와 모니터, 그리고 TV가 꼽힙니다.  1. FED(Field Emission Displ

소개글

고속동작곱셈기

목차

1. 제목: 고속 동작 곱셈기 설계

2. 목적

3. 목표 및 기준 설정
(1) 목표 및 기준설정

4. 합성 및 분석
(1) 분석

5. 시험 및 평가
(1) 시험
(2) 평가

6. 논의 사항

본문내용

고속 동작 곱셈기 설계
1. 제목: 고속 동작 곱셈기 설계

2. 목적
고속 동작 곱셈기의 설계를 통해 곱셈 과정에 있어서 shift and add를 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 sequential circuit의 설계 흐름을 숙지한다. 또한 VHDL을 이용한 곱셈기 설계를 통해 VHDL을 이용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다.

3. 목표 및 기준 설정
(1) 목표 및 기준설정
shift and add 횟수 감소를 통해 고속 연산을 가능하게 하는 Booth’s multiplier를 설계한다. 이때 16-bit word의 입력과 출력을 가지도록 한다.
-곱셈기를 구현하기 위해 곱셈 과정에 대한 수학적 이론 정리

 ≪ 그 림 ≫ ≪ 그 림 ≫
 ≪ 그 림 ≫

-곱셈 과정에서의 shift and add 동작에 대한 이해
 ≪ 표 - 그림 파일 ≫




≪ … 중 략 … ≫




5. 시험 및 평가
(1) 시험
-VHDL을 이용하여 곱셈기 설계
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;

entity BOOTH is
generic (N : integer := 16);
port (RESET, CLOCK, LOAD : in std_logic;
MULTIPLICAND, MULTIPLIER : in std_logic_vector(N-1 downto 0);
PRODUCT : out std_logic_vector(2*N-1 downto 0));
end BOOTH;

참고문헌

본 자료는 참고문헌이 없습니다.
저작권 레포트월드는 “웹사이트를 통해 판매자들이 웹서버에 등록한 개인저작물에 대해 온라인
서비스를 제공하는 제공자(Online Service Provider, OSP)” 입니다.
고속 동작 곱셈기 설계 게시물의 저작권 및 법적 책임은 자료를 등록한 등록자에게 있습니다.
저작권이 침해된다고 확인될 경우 저작권 침해신고 로 신고해 주시기 바랍니다.
환불정책

추천 레포트

  • 아날로그 및 디지털 회로 설계 실습 - 예비1:Common-Source(CS) 증폭기와 Cascode 증폭기의 동작 특성 및 비교.
  • 목적 CS 증폭기와 Cascode 증폭기를 설계하고 이를 측정하여 동작 특성, 이득 및 위상 관계에 관해 알아바고, 두 증폭기의 차이점에 대하여 비교한다. 실습준비물 FET : IRF540 2개 저항 커패시터 오실로스코프 (Oscilloscope) : 1개 브레드보드 (Bread board) : 1개 파워 서플라이 (Power supply) : 1개 함수 발생기 (Fuction generator) : 1개 실험계획서 [PSpice를 이용한 사전 설계] 3dB BW Gain (V/V) Common Source 10kHz ~ 20kHz 65dB C
  • 논리회로 카운터 신호등 설계 - 카운터의 구조와 동작원리를 이해하고 플립플롭을 이용하여 실생활 사용에 접목시켜 카운터 구현의 응용능력을 키운다.
  • 논리회로 카운터 신호등 설계 - 카운터의 구조와 동작원리를 이해하고 플립플롭을 이용하여 실생활 사용에 접목시켜 카운터 구현의 응용능력을 키운다. 1. 설계 목적 카운터의 구조와 동작원리를 이해하고 플립플롭을 이용하여 실생활 사용에 접목시켜 카운터 구현의 응용능력을 키운다. 2. 사용 계기 및 부품 TTL 소자 : 7476(Dual J-k Flip Flops with Preset and Clear) [2개] 7404(Hex Inverter) [1개], 7408(Quad 2-input AND) [2개] 7411(Triple 3-input AND) [1개],
  • 메카트로닉스 마이크로프로세서를 이용한 디지털 온도계 제작 - 선행과정, 소프트웨어 구성, 동작 원리, 설계과정,
  • 메카트로닉스 마이크로프로세서를 이용한 디지털 온도계 제작 - 선행과정, 소프트웨어 구성, 동작 원리, 설계과정, Ⅰ 실험 과제 : ATMEGA8을 이용한 온도센서 제작 ⅰ) 실험 목표   - 온도센서로부터 현재 온도를 파악하여 Analog signal을 Digital signal로 변환하는    과정을 거쳐 최종적으로 F.N.D에 출력하는 디지털 온도계를 제작한다. ⅱ) 학습 목표   - 본 실험 과제를 통해서 ATMEGA8 기반의 마이크로프로세서 회로에 대한 이해도를    높이고, 각 소자의 특성 및 구동원리, 사용 방법을 숙지한다.    또한
  • 2비트 곱셈기(디지털회로설계)
  • 2비트 곱셈기(디지털회로설계) 2bit * 2bit 곱셈기 설계과정 및 결과 ppt형식으로 제작 페이지수 15페이지 orcad를 이용한 회로설계 및 결과도출
  • 디지털 회로설계 - 고속 동작 곱셈기 설계
  • 디지털 회로설계 - 고속 동작 곱셈기 설계 1. 제목 : 고속 동작 곱셈기 설계 2. 목적 고속 동작 곱셈기의 설계를 통해 곱셈 과정을 이해하고 곱셈기 구현을 위한 여러 가지 기법들을 익히며 설계 흐름을 숙지한다. 또한 VHDL을 사용한 sequential circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다. 3. 목표 및 기준 설정 곱셈기를 구현하는 논리는 덧셈기를 구현하는 이론보다 복잡해서, 어떠한 논리를 이용하느냐에 따라 그 계산 속도의 차이가 생기게 된다. 곱셈은 mul
최근 본 자료
최근 본 자료가 없습니다.
카카오 채널
청소해
  • 장바구니
  • 다운로드